High Performance Continuous Wave 1 3 1¼m Quantum Dot Lasers on Silicon

  • Journal List
  • Nanomaterials (Basel)
  • v.12(15); 2022 Aug
  • PMC9370541

Nanomaterials (Basel). 2022 Aug; 12(15): 2704.

Monolithic Integration of O-Band InAs Quantum Dot Lasers with Engineered GaAs Virtual Substrate Based on Silicon

Buqing Xu,1, 2 Guilei Wang,3 Yong Du,1, * Yuanhao Miao,1, 4, * Ben Li,4 Xuewei Zhao,1, 4 Hongxiao Lin,4 Jiahan Yu,1, 2 Jiale Su,1 Yan Dong,1, 4 Tianchun Ye,1, 4 and Henry H. Radamson4, *

Buqing Xu

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

2University of Chinese Academy of Sciences, Beijing 100029, China

Guilei Wang

3Beijing Superstring Academy of Memory Technology, Beijing 100176, China

Yong Du

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

Yuanhao Miao

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Ben Li

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Xuewei Zhao

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Hongxiao Lin

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Jiahan Yu

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

2University of Chinese Academy of Sciences, Beijing 100029, China

Jiale Su

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

Yan Dong

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Tianchun Ye

1Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Henry H. Radamson

4Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China

Yurii K. Gun'ko, Academic Editor

Received 2022 Jun 2; Accepted 2022 Jul 29.

Abstract

The realization of high-performance Si-based III-V quantum-dot (QD) lasers has long attracted extensive interest in optoelectronic circuits. This manuscript presents InAs/GaAs QD lasers integrated on an advanced GaAs virtual substrate. The GaAs layer was originally grown on Ge as another virtual substrate on Si wafer. No patterned substrate or sophisticated superlattice defect-filtering layer was involved. Thanks to the improved quality of the comprehensively modified GaAs crystal with low defect density, the room temperature emission wavelength of this laser was allocated at 1320 nm, with a threshold current density of 24.4 A/cm−2 per layer and a maximum single-facet output power reaching 153 mW at 10 °C. The maximum operation temperature reaches 80 °C. This work provides a feasible and promising proposal for the integration of an efficient O-band laser with a standard Si platform in the near future.

Keywords: Si photonics, InAs/GaAs lasers, epitaxial growth, GaAs virtual substrate

1. Introduction

Si-based optoelectronic integration chips (OEICs) are devoted to integrating both electronic devices and photonic devices on mature Si platforms, thus process flows are fully compatible with the standard CMOS technology. On-chip electronic devices are usually the traditional microelectronic devices, such as MOSFETs, TFETs, FinFETs, etc [1,2,3,4]. Moreover, photonic devices mainly include lasers [5,6,7,8], modulators [9,10,11,12], detectors [13,14,15,16,17,18,19], waveguides [20,21,22,23], etc. From the practical perspective, the Si-based on-chip light source was regarded as the final technical hurdle to convert the electron signal into an optical signal, which features the most striking and indispensable unit to achieve high performance Si-based OEICs [24,25,26,27]. To overcome this issue, several strategies were proposed to achieve a high-efficiency on-chip light source. Although group IV-based materials are highly desired to realize the large-scale integrated OEICs, the optical gains for heavily n-type-doped Ge and GeSn are not high enough to overcome the optical loss due to the limited directness and low dimensional material structure growth technique maturity, indicating there is still a long way to go for group IV-based on-chip light sources [28,29,30]. Furthermore, the growth of GeSnSi layers of high quality is also a challenge [31,32]. Benefiting from the connate direct band-gap structure, representative group III–V semiconductor materials, InAs and GaAs, occupy extremely high luminous efficiency [33,34,35,36], making InAs/GaAs quantum dot (QDs) structures directly grown on Si a promising way to develop the high-efficiency on-chip light sources. The main reasons for choosing InAs/GaAs QDs as active optical regions are as follows: outstanding stability, low threshold current density and insensitivity to defects and high temperature, which prompts them to be the ideal choice of light sources used in modern telecommunication networks [37,38,39,40,41].

Due to the lattice mismatch, thermal mismatch and polarity difference between Si and GaAs, heterogenous growth facing severe defect problems, such as anti-phase domains (APDs), threading dislocations (TDs) and thermal cracks [42,43,44,45,46,47,48]. These defects act as the non-radiative recombination centers for optoelectronic devices, leading to the aggregation of dopant atoms, triggering the pinning effect, generating additional energy losses and ultimately deteriorating the characteristics of the devices. Although Si-based III–V lasers realized by heterobonding have shown remarkable performance [46,47], III–V lasers fabricated by direct heteroepitaxy on Si and then transferred onto SOI substrate in order to strengthen the gain for OEIC purposes still have unique features in the long run [48,49,50,51,52]. Therefore, crystal quality management for GaAs/Si virtual substrate plays a vital role in solving the technical bottleneck for high performance Si-based InAs/GaAs QD lasers. Up to now, researchers have proposed several methods to grow high-quality GaAs/Si virtual substrates, including thick GaAs buffer layer, thick GaAs/Ge buffer layer, 6° miscut Ge (100), V-groove Si (100) substrate, InGaAs defect-filter layers (DFLs), GaAs/InGaAs superlattice (SLS) buffer layers, patterned Si substrates, AlGaAs seed layers, etc [53,54,55,56]. Through the asymmetric step-graded filter structure, which prompts plastic relaxation, a surface TDD of 2 × 106 cm−2 was achieved with a total buffer thickness of 2.55 μm by MBE [55]. Using the approaches described, high performance and high reliability InAs/GaAs QDs laser on Si platforms was demonstrated. In addition, majority buffer layer growth tools are the MBE system, which stands for a low growth rate and high cost, severely limiting its industrial production [50]. To facilitate material growth technology, there is an urgent need to develop the novel growth strategy in order to lower the detrimental impact of electrically active crystalline defects generated from the characteristic difference between Si and III/V materials for high performance InAs/GaAs QDs lasers. Shang C et al. concluded progress in solving these issues, which was regarded as six generations of heteroepitaxial lasers based on Si [57].

Herein, we demonstrate high-quality GaAs/Ge/Si virtual substrate growth via commercially available reduced-pressure chemical vapor deposition (RPCVD) and metal-organic chemical vapor deposition (MOCVD) tools. Detailed Ge RPCVD growth optimization strategies on 8-inch Si are reported elsewhere [58]. To eliminate the APDs defects in the GaAs buffer layer, a three-step growth scheme was introduced (400 °C + 600 °C + 670 °C). When GaAs/Ge/Si virtual substrate growth is performed, molecular beam epitaxy (MBE) was carried out for the deposition of InAs/GaAs QDs structures and an edge-emitting Fabry–Perot (FP) cavity laser with separate confinement heterostructure (SCH) was processed. Characterization methods, such as, high-resolution transmission electron microscopy (HRTEM), atomic force microscopy (AFM), photoluminenscence (PL), light-current-voltage (L-I-V) and continuous-wave (CW) lasing spectrum analysis were implemented.

The novelty of this study lies in the employment of a 200 nm high-quality GaAs virtual substrate prepared by MOCVD, of which the TDD has been decreased to 7.4 × 107 cm−2, with a modified Ge buffer layer that experiences global optimization in each phase during the epitaxy of RPCVD. The state-of-the-art TDD results have reached the 106 cm−2 level according to the reported work in ref. [55], but this direct growth scheme with a thin thickness buffer offers a concise and refined solution for competitive InAs/GaAs QD lasers, which saves, layer by layer, SLS structures or substrate patterning and has the future capability to extend to the 12-inch Si wafers that are applicable for surging demands in intra-/inter on-chip data transmission and manipulation.

2. Experimental Details

Experiments were performed on 8-inch Si (100) wafers with 6° miscut and each sample experienced a standard cleaning procedure prior to epitaxy (SPM followed by APM with DHF last). To avoid any potential contamination on the wafer surface, load-locks were pumped down as soon as they were transferred into equipment. Figure 1a depicts the key process flow in our research and the film stack from bottom to top is vividly shown in Figure 1b. A 1400 nm thick layer of Ge was grown by a two-step growth method in RPCVD (ASM Epsilon 2000, Almere, The Netherlands), including a low-temperature nucleation layer (LT) with a thickness of 400 nm grown at 400 °C and a high-temperature layer (HT) with a thickness of 1000 nm grown at 650 °C. The detailed optimization process flow is illustrated in [58]. As soon as the growth finished, the sample experienced a step of in situ annealing in H2 atmosphere at 820 °C for 10 min as well. Threading defect density (TDD) and surface roughness root mean square (RMS) were measured as 2.78 × 107 cm−2 and 0.81 nm by HRTEM (Thermo Fisher Talos, Brno, Czech Republic) and AFM (Bruker Dimension Icon Inc., Berlin, Germany), respectively.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g001.jpg

Depiction of the integration of InAs/GaAs QD lasers on Si: (a) process flow and (b) schematic of the film structure.

Then the surface of Ge was subjected to CMP planarization to offer a flattened basis for the continued GaAs deposition. Like the particulars described in [59], a three-step growth plan was used to fulfill the preparation of ~200 nm thick GaAs by MOCVD (Axitron Crius R, Aachen, Germany). Based on traditional two-step growth, a middle temperature (MT) layer of 60 nm grown at 600 °C was inserted between LT GaAs of 18 nm grown at 460 °C and HT GaAs of 120 nm grown at 670 °C. TDD and RMS were characterized as 7.4 × 107 cm−2 and 1.27 nm. Up to this point, we have processed the advanced GaAs virtual substrate based on Si with systematically optimized Ge as the mismatch buffer layer.

The schematic of III–V structures is presented in Figure 2a. A 500 nm GaAs buffer layer with a doping concentration of Si: 1 × 1018 cm−3 was firstly deposited upon the epi-ready virtual substrate to submerge the contaminations and further flatten the GaAs surface. Afterwards, an n-type Al0.45Ga0.55As layer with a thickness of 1800 nm and same doping concentration was grown at 630 °C to function as the cladding layer. A typical SCH structure was adopted in this laser and 5 stacks of dot-in-well (DWELL) were cyclically deposited, in which each group involves InAs QD layer, In0.15Ga0.85As capping layer and GaAs spacer layer. The self-organized growth in S-K mode prompts InAs QDs formed in uniform size and dense arrangement. The ambient temperature of QDs growth was 520 °C and the deposition amount was about 2.5 ML (mono-layer) of which the corresponding growth rate was 1.5 ML/minute, affecting the size and morphology of QDs as well as the center wavelength in PL test. InAs QDs in each group act as potential wells in the active region, and the GaAs layer with a thickness of 40 nm plays the role of potential barrier to limit the carrier movement in the QDs. Due to the lattice mismatch as high as 7%, a 5 nm thick In0.15Ga0.85As layer was introduced for buffering between InAs and GaAs. Waveguides that consist of GaAs and Al0.45Ga0.55As with a smaller refractive index were constructed on both sides to confine the light field in the active region (top cladding layer). At the top of the laser structure, a highly doped p-type GaAs layer (100 nm with a doping concentration of Be: 1 × 1018 cm−3) for metal contact was deposited to accomplish the core growth steps.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g002.jpg

Schematic of: (a) the structural growth of InAs/GaAs QDs (b) the processed InAs/GaAs QD laser with coplanar electrodes on 6° miscut Si (not to scale).

Followed by several steps as standard lithography, inductively coupled plasma (ICP) etching, SiO2 deposition, reactive ion etching (RIE), electrode evaporation, etc. Edge emitting FP InAs/GaAs QD lasers with coplanar electrodes were successfully processed based on the as-grown material as shown schematically in Figure 2b. This Si-based QD laser structure was fabricated into broad-area lasers with a ridge width of 90 µm. As soon as the mesa patternings of metal contacts were opened, the Ti/Pt/Au contact scheme was thermally evaporated onto the p-type GaAs epilayer. In terms of the n-type contact, AuGeNi/Au metallizations were similarly conducted on the exposed n-doped GaAs to form the ohmic contact. After thinning the backside Si to 100 µm, laser bars were cleaved to make a 2000 µm long cavity length. Laser facets were achieved by dicing and polishing where the high-reflection coatings were not applied. The completed devices were afterwards mounted on copper heatsinks with indium solder, and gold wires were simultaneously bonded to enable the smooth measurements of lasing performances.

3. Results and Discussion

3.1. Material Characterizations

Figure 3a displays the positioning of the sample. The area marked in red is enlarged in Figure 3b–e, which is captured around the active region and the engineered GaAs/Ge buffering film. It can be seen that the profile of each stack of InAs/GaAs is clear to distinguish which is evenly distributed, and the overall quality of structure exhibits good quality as revealed. No obvious defects are observed in TEM Figure 3b–d. The high density of the dislocations at the interface between the Si and buffer layers, which originate from the large mismatch in lattice constant and thermal coefficient, have been greatly depleted as the engineering process performed, as is vividly shown in Figure 3e.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g003.jpg

Cross-sectional TEM images of the film stack: (a) sample positioning; (b) active region; (c,d) enlarged image of position 1; (e) enlarged image of position 2.

As the resolution is further enhanced, the observation results focusing on the InAs/GaAs active region are presented in Figure 4. Figure 4a–c shows the overall morphology of five stacks of cyclic deposition, indicating the distinct boundary of each stack. Dislocation-free or so-called coherent island-like structures prepared by S-K mode have been reported since the 1980s [60]. The S-K growth mode takes advantage of the strain energy generated by lattice mismatch between the materials, and plenty of 3D islands were self-organized with the increase of the material thickness. The wetting layer is formed in a planar mode initially, and 3D accumulation of QD islands on this ultra-thin wetting layer interrupts the 2D planar growth as the critical thickness is exceeded. Figure 4d–e depicts the concrete appearances and crystallinity of the QDs with a regular hemisphere shape and uniform distributions when the local area is magnified. Those QDs are well aligned along the substrate planes, and no defects or Moiré pattern were observed. These results indicate the quantum dots are apparently strained with a high epitaxial quality.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g004.jpg

TEM images of the InAs/GaAs active region: (a) local active region; (b) active region with GaAs structure on both sides; (c) magnified image of (b); (d,e) morphology of InAs quantum dots.

AFM scanning was performed on the surface of the uncapped QD structure (1 × 1 μm2), as shown in Figure 5. The performance of QD devices at early stages was often limited by the complexity and instability of the self-organized progress, which led to the fluctuation in the size of the QDs from time to time. This uneven impact caused the broadening of the wavelength variations in the lasing spectrum and the reduction of the peak intensity. Since the size of QDs is comparable to or even smaller than the de Broglie wavelength or the mean free path of electrons in all three dimensions, the movement of electrons in the QDs is significantly limited. Such a confinement effect results in an increase in electron energy and triggers a simultaneous transition from a continuous band to a split structure similar to that of an atom, with quantized energy in three directions. In general, the confinement tends to be more solid on small QDs, which leads to higher electron energy. If the growth temperature is relatively low, the desorption of In atoms decreases and the diffusion length is shortened, which may bring small QDs with high density. However, the atmosphere of low temperature also introduces more defects in the QDs that offset the gain brought by the high density. On the contrary, the density of the islands decreases if the temperature is too high, which promotes the red-shift of the spectrum and the decline of the intensity and even accelerates the serious interdiffusion between the In and Ga atoms. In our experiment, the growth temperature of the InAs QDs was kept at 520 °C, and the growth rate was 1.5 ML/minute. When the surface of the GaAs was gradually covered by small QD islands, a "GaAs pie" sprinkled with "InAs sesame" was obtained. Under this circumstance, the average lateral size of the formed QDs were approximately 30 nm, and the density of QDs was 5.6 × 1010 cm 2 with moderate size and uniform distribution.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g005.jpg

An AFM scanning image of uncapped InAs QDs (1 × 1 μm2).

In general, the central emission wavelength for InAs/GaAs locates at the range of 1~1.1 μm. To extend the peak wavelength to 1.3 μm, which is beneficial for lowering the power consumption in optical fiber communication, the method of introducing an In0.15Ga0.85As buffer layer on the top of the QDs was used to release the strain. Inserting a 5 nm In0.15Ga0.85As layer of which the lattice constant lies between InAs and GaAs can partially make the strain relax along the growth direction, thereby facilitating a red-shift of the spectrum. Moreover, the non-uniform random diffusion of InAs could be effectively suppressed due to the presence of the In0.15Ga0.85As buffer layer. As characterized by PL with a liquid nitrogen cooled InGaAs detector, shown in Figure 6, it has a narrow fluorescence property, and the peak wavelength of the luminescence of this structure is exactly located at 1.3 μm (FWHM = 45 nm), which meets the requirements posed by energy-efficient light emitters.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g006.jpg

PL result of silicon-based InAs/GaAs quantum dot structure with peak at 1300 nm.

3.2. Device Characteristics

In the realistic scenario of datacom applications where the request of high temperature adaptability is raised, lasers are desirable for proper function in environments with elevated temperatures (up to 80 °C) [61] without the use of thermo-electric cooling. Figure 7 shows the L-I-V characteristic for the broad-area 1.3 µm InAs/GaAs QD laser with a 90 μm ridge width and a 2000 μm cavity length based on the engineered GaAs virtual substrate. Measurements of single-facet output power versus injection current density for our Si-based InAs/GaAs QD laser operated in CW mode from 10 °C to 80 °C are shown in Figure 7a. A threshold current (I th) of 218 mA was observed at 10 °C, which represents the threshold current density (J th) of 122 A/cm2, and the maximum output power (P out) of 153 mW was detected without a expression of performance degradation or power roll-off. When it comes to 30 °C, which is basically the same level as the common room temperature, I th goes up to 580 mA and P out goes down to 126 mW. It depicts the J th of 322 A/cm2 which corresponds to 64.4 A/cm2 for each of the five repeat QD layers. The calculated slope efficiency and external differential quantum efficiency were 0.052 W/A and 5.5%, respectively, which were associated with the facet quality. Moreover, an obvious increasing in J th and a rapid decreasing in P out were identifiable as the ambient temperature went up. The continuous and stable lasing was still realized even when the atmosphere reached 80 °C, producing output power of 77 mW. Owing to the p-i-n-based laser scheme, the basic characteristics of light-emitting diodes were also embodied and the implementations of device process can be reflected. Process performance, such as doping and contact forming, was examined indirectly through the check of the laser working state. Figure 7b displays similar V-I trends under different temperatures, from which the opening voltage and resistance can be referred. Compared with the PL test, the lasing spectrum that was driven by electric signals with greater energy provides the fundamental features of the device as well. Figure 7c shows the lasing spectrum detected by Fourier-transform infrared spectroscopy, which is measured in an injection current of 2.5 A above the threshold at room temperature. The peak wavelength was confirmed at 1320 nm, which is basically the same as that revealed in the PL spectrum, located in the O-band with a line-width (FWHM) of 6 nm. These characterized results as summarized above (typical L-I-V features, high power output, low threshold current density, slope efficiency and external efficiency) display the prominent lasing behaviors. Furthermore, the 2000 μm cavity length is still too long to observe the multiple longitudinal lasing modes due to the spectrometer limitation, and the related bad cleaving surface for the 6° miscut Si substrate is supposed to be a contributor as well.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g007.jpg

Characteristics of Si-based InAs/GaAs QD laser: L-I-V test (a) light output power versus injection current density under different temperatures; (b) V-I curves under different temperatures; and (c) lasing spectrum of Si-based InAs/GaAs QD laser at room temperature with emission at 1320 nm.

The sensitivity of the device to temperature variations is negatively correlated with the characteristic temperature T 0. As shown in Figure 8, the temperature dependence of the threshold current density for the packaged laser was recorded and plotted, which follows the exponential function of I th ∝ exp (T/T 0), as illustrated in Equations (1) and (2). T 0 was deduced as 35 K, which is a typical value for the active region without doping [62,63], by fitting the curve in the range of 10 °C to 80 °C. Generally speaking, this not-so-good feature in the 1300 nm InAs/GaAs QD laser comes from the hole excitation out of the lasing state [64,65]. It is also worth emphasizing that here T 0 was extracted under CW input mode, which underestimates performance as a result of the unavoidable self-heating effects.

An external file that holds a picture, illustration, etc.  Object name is nanomaterials-12-02704-g008.jpg

Characteristics of the temperature-dependent threshold current density of Si-based InAs/GaAs QD laser (characteristic temperature).

Table 1 presents the recent efforts dedicated to the development of O-band Fabry–Perot lasers with InAs/GaAs QD active regions. Crucial material structures and device parameters are summarized, including adopted substrates, defect buffering layers, emission wavelengths, threshold current density and maximum output power. Progress in different aspects has been made to varying extents. Although the device performances in this work are not advantageous in all aspects, they still show great competence in terms of the listed parameters among typical reported lasers without high-reflection coatings as well as the relative concise growth procedure benefited by the engineered GaAs virtual substrate based on the comprehensively optimized Ge/Si in which the TDD was successfully reduced to 7.4 × 107 cm−2. Since the direct growth of GaAs material on unpatterned miscut Si eliminated the complicated design of the defect filtering layer (DFL) and remaining competitive properties, there exists a promising application of this epitaxial plan that alleviates the expensive life-cycle cost.

Table 1

Benchmarking of recent progress made in O-band InAs/GaAs QD lasers monolithically integrated on Si.

Year Substrate Interlayers TDD
(/cm2)
Groups of QDs DWELL Size Performance Refs.
Cavity Length (μm) × Ridge Width (μm) Operation Condition λ (nm) J th (A/cm2) P out (mW) T 0
(K)
2011 6° miscut
Ge (100)
1500 nm GaAs 1 × 104 ~ 1 × 106 5 5000 × 50 RT-CW
As cleaved
1305 55.2 28 40 [66]
2012 6° miscut
Si (100)
2000 nm GaAs/
2000 nm Ge
5 × 106 5 3500 × 20 RT-CW
As cleaved
1280 64 93 37 [6]
2014 6° miscut
Si (100)
2000 nm GaAs/
500 nm Ge
108 7 937 × 4 RT-CW
Coated
1250 426 176 100
~
200
[67]
2016 4° miscut
Si (001)
[InGaAs/GaAs] SLS/
1000 nm GaAs/6 nm AlAs
105 5 3200 × 50 RT-CW
As cleaved
1315 62.5 105 51 [62]
2017 V-Groove
Si (001)
760 nm GaAs/
[InGaAs/GaAs] SLS/
1080 nm GaAs
7 × 107 5 1200 × 10 RT-CW
Coated
1250 607 84 NA [63]
2017 GaP/Si (001) 3000 nm GaAs (with
InGaAs DFL)
7.3 × 106 4 2600 × 8 RT-CW
Coated
1285 132 175 32 [68]
2017 On axis
Si (001)
[InGaAs/GaAs] SLS/400 nm GaAs NA 5 3000 × 25 RT-Pulsed/
RT-CW
1292 250/425 130/
43
32 [69]
2018 On axis
Si (001)
3100 nm GaAs (with
InGaAs DFL)/45 nm GaP
8.4 × 106 5 1341 × 2.5 20 °C-CW
Coated
1299 198 185 NA [70]
2018 On axis
Si (001)
[InGaAs/GaAs] SLS/800 nm GaAs/
40 nm AlGaAs
3 × 107 8 2000 × 80 RT-Pulsed
As cleaved
1250 320 30 51 [71]
2019 On axis
Si (001)
[InGaAs/GaAs] SLS/800 nm GaAs/40 nm AlGaAs 4.7 × 107 8 1100 × 7 20 °C-CW
As cleaved
1225 370 53.2 mW/A 50 [72]
2019 V-Groove
Si (001)
[InGaAs/GaAs] SLS/300 nm GaAs/200 nm
InGaAs/1600 nm GaAs
3 × 106 5 1450 × 10 RT-CW
Coated
1280 286 75 30
~
35
[73]
2019 On axis
Si (001)
[InGaAs/GaAs] SLS/700 nm GaAs NA 5 3000 × 50 RT-CW
As cleaved
1330 160 48 60.8 [74]
2020 Quasi-nominal
Si (001)
[InGaAs/GaAs] SLS/1100 nm GaAs 3 × 107 5 1270 × 6 RT-CW
As cleaved
1270 173 52 41 [75]
2020 4° miscut
Si (001)
[InGaAs/ GaAs] SLS/350 nm GaAs/300 nm Ge 4 × 106 7 3000 × 25 RT-Pulsed
As cleaved
1280 200 78 153 [76]
2021 On axis
Si (001)
InGaAs asymmetric graded filter/45 nm GaP 1.5 × 106 5 1500 × 5 RT-Pulsed
As cleaved
~1300 266 65 167 [77]
2022 6° miscut
Si (100)
200 nm GaAs/
1400 nm Ge
7.4 × 107 5 2000 × 90 10 °C-CW/
30 °C-CW
As cleaved
1320 122
(10 °C) /322
(30 °C)
153
(10 °C) /126
(30 °C)
35 This work

4. Conclusions

We have investigated monolithically integrated InAs/GaAs QD lasers on Si substrates with high-quality GaAs and Ge materials as buffer layers. Room temperature CW lasing at 1320 nm was observed, with a minimum threshold current density of 122 A/cm2 and a maximum output power of 153 mW at 10 °C. As the ambient temperature rose to 80 °C, stable continuous lasing was still observed and the output power decreased to 77 mW. In contrast with other schemes, the effective growth applied in this work exploited the comprehensive optimization of the multi-step CVD deposition of GaAs and Ge interlayers with reduced TDD of 7.4 × 107 cm−2, which omits the introduction of intricate defect depletion designs. Devices fabricated through this direct growth procedure exhibit performance that compares favorably with reported O-band QD lasers based on Si. We believe a practicable and perspective strategy of the monolithic integration of InAs/GaAs QD lasers with Si, emitting exactly in the O-band, is provided, and it enjoys advantageous material quality as well as favorable device characteristics.

Funding Statement

This work was supported by the construction of the high-level innovation research institute from the Guangdong Greater Bay Area Institute of Integrated Circuit and System (Grant No. 2019B090909006) and the projects of the construction of new research and development institutions (Grant No. 2019B090904015) and in part by the National Key Research and Development Program of China (Grant No. 2016YFA0301701), the Youth Innovation Promotion Association of CAS (Grant No. 2020037) and the National Natural Science Foundation of China (Grant No. 92064002).

Author Contributions

Conceptualization, B.X., Y.D. (Yong Du), Y.M. and H.H.R.; data curation, B.L., H.L., J.Y. and J.S.; formal analysis, B.X. and Y.D. (Yong Du); funding acquisition, G.W. and H.H.R.; investigation, B.X., Y.M. and X.Z.; methodology, B.X., Y.D. (Yong Du), Y.M. and H.H.R.; project administration, G.W., T.Y. and H.H.R.; resources, G.W., T.Y. and H.H.R.; supervision, H.H.R.; validation, B.X., X.Z., J.Y. and Y.D. (Yan Dong); visualization, B.L., H.L. and J.S.; writing—original draft, B.X.; writing—review and editing, Y.M., Y.D. (Yan Dong) and H.H.R. All authors have read and agreed to the published version of the manuscript.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data is available on reasonable request from the corresponding author.

Conflicts of Interest

The authors declare no conflict of interest.

Footnotes

Publisher's Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

References

1. Hisamoto D., Wen-chin L., Kedzierski J., Takeuchi H., Asano K., Kuo C., Anderson E., Jae King T., Boker J., Chenmin H. FinFET—A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron. Devices. 2000;47:2320–2325. [Google Scholar]

2. Wang G., Luo J., Qin C., Liang R., Xu Y., Liu J., Li J., Yin H., Yan J., Zhu H., et al. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Res. Lett. 2007;12:123. doi: 10.1186/s11671-017-1908-0. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

3. Radamson H.H., Luo J., Simoen E., Zhao C. CMOS Past, Present and Future. Woodhead Publishing; Cambridge, UK: 2018. [Google Scholar]

4. Radamson H.H., Zhu H., Wu Z., He X., Lin H., Liu J., Xiang J., Kong Z., Xiong W., Li J., et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials. 2020;10:1555. doi: 10.3390/nano10081555. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

5. Sun Y., Zhou K., Qian S., Liu J., Feng M., Li Z., Yu Z., Zhang L., Li D., Zhang. S., et al. Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si. Nat. Photonics. 2016;10:595–599. doi: 10.1038/nphoton.2016.158. [CrossRef] [Google Scholar]

6. Lee A., Jiang Q., Tang M., Seeds A., Liu H. Continuous-wave InAs/GaAs quantum-dot laser diodes monolithically grown on Si substrate with low threshold current densities. Opt. Express. 2012;20:22181–22187. doi: 10.1364/OE.20.022181. [PubMed] [CrossRef] [Google Scholar]

7. Chen S.M., Tang M.C., Wu J., Dorogan V.G., Benamara M., Mazur Y.I., Salamo G.J., Seeds A.J., Liu H. 1.3-μm InAs/GaAs quantum-dot laser monolithically grown on Si Substrates operating over 100 °C. Electrons Lett. 2014;50:1467–1468. doi: 10.1049/el.2014.2414. [PubMed] [CrossRef] [Google Scholar]

8. Groenert M.E., Leitz C.W., Pitera A.J., Yang V. Monolithic integration of room-temperature cw GaAs/AlGaAs lasers on Si substrates via relaxed graded GeSi buffer layers. J. Appl. Phys. 2003;93:362–367. doi: 10.1063/1.1525865. [CrossRef] [Google Scholar]

9. Reed G.T., Mashanovich G., Gardes F.Y., Thomson D.J. Silicon optical modulators. Nat. Photonics. 2010;4:518–526. doi: 10.1038/nphoton.2010.179. [CrossRef] [Google Scholar]

10. Liu L., Van Campenhout J., Roelkens G., Soref R.A., Thpurhout D.V., Rojo-Romeo P., Regreny P., Seassal C., Fedeli J.M., Baets R. Carrier-injection-based electro-optic modulator on silicon-on-insulator with a heterogeneously integrated III-V microdisk cavity. Opt. Lett. 2008;33:2518–2520. doi: 10.1364/OL.33.002518. [PubMed] [CrossRef] [Google Scholar]

11. Xiao X., Xu H., Li X.Y., Li Z.Y., Chu T., Yu Y., Yu J.Z. High-speed, low-loss silicon Mach–Zehnder modulators with doping optimization. Opt. Express. 2013;21:4116–4125. doi: 10.1364/OE.21.004116. [PubMed] [CrossRef] [Google Scholar]

12. Streshinsky M., Ding R., Liu Y., Novack A., Yang Y., Ma Y., Tu X., Koh Sing Chee E., Lim A.E.J., Lo P.G.-Q., et al. Low power 50 Gb/s silicon traveling wave Mach-Zehnder modulator near 1300 nm. Opt. Express. 2013;21:30350–30357. doi: 10.1364/OE.21.030350. [PubMed] [CrossRef] [Google Scholar]

13. Dosunmu O.I., Can D.D., Emsley M.K., Unlu M.S., Cannon D.D., Kimerling L.C. High-speed resonant cavity enhanced Ge photodetectors on reflecting Si substrates for 1550-nm operation. IEEE Photonics Technol. Lett. 2005;17:175–177. doi: 10.1109/LPT.2004.836917. [CrossRef] [Google Scholar]

14. Yin T., Cohen R., Morse M.M., Sarid G., Chetrit Y., Rubin D., Paniccia M.J. 31 GHz Ge nip waveguide photodetectors on silicon-on-insulator substrate. Opt. Express. 2007;15:13965–13971. doi: 10.1364/OE.15.013965. [PubMed] [CrossRef] [Google Scholar]

15. Kolahdouz M., Afshar Farniya A., Di Benedetto L., Radamson H.H. Improvement of infrared detection using Ge quantum dots multilayer structure. Appl. Phys. Lett. 2010;96:213516. doi: 10.1063/1.3441120. [CrossRef] [Google Scholar]

16. Kolahdouz M., Östling M., Radamson H.H. High performance infra-red detectors based on Si/SiGe multilayers quantum structure. Mater. Sci. Eng. B. 2012;177:1563–1566. doi: 10.1016/j.mseb.2011.10.013. [CrossRef] [Google Scholar]

17. Vivien L., Polzer A., Marris-Morini D., Osmond J., Hartmann J.M., Crozat P., Cassan E., Kopp C., Zimmermann H., Fedili J.M. Zero-bias 40 Gbit/s germanium waveguide photodetector on silicon. Opt. Express. 2012;20:1096–1101. doi: 10.1364/OE.20.001096. [PubMed] [CrossRef] [Google Scholar]

18. Zhao X., Moeen M., Toprak M., Wang G.L., Luo J., Ke X.X., Li Z.H., Liu D.Q., Wang W.W., Zhao C., et al. Design impact on the performance of Ge PIN photodetectors. J. Mater. Sci. Mater. Electrons. 2020;31:18–25. doi: 10.1007/s10854-018-00650-w. [CrossRef] [Google Scholar]

19. Zhao X., Wang G., Lin H., Du Y., Luo X., Kong Z.Z., Su J.L., Li J.J., Xiong W.J., Miao Y.H., et al. High Performance p-i-n Photodetectors on Ge-on-Insulator Platform. Nanomaterials. 2021;11:1125. doi: 10.3390/nano11051125. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

20. Bauters J.F., Davenport M.L., Heck M.J.R., Doylend J.K., Chen A., Fang A.W., Bowers J.E. Silicon on ultra-low loss waveguide photonic integration platform. Opt. Express. 2013;21:544–555. doi: 10.1364/OE.21.000544. [PubMed] [CrossRef] [Google Scholar]

21. Heck M.J.R., Bauters J.F., Davenport M.L., Spencer D.T., Bowers J.E. Ultra-low loss waveguide platform and its integration with silicon photonics. Laser Photon Rev. 2014;8:1–20. doi: 10.1002/lpor.201300183. [CrossRef] [Google Scholar]

22. Xiong W., Wang G., Li J., Zhao C., Wang W.W., Radamson H.H. SiN-based platform toward monolithic integration in photonics and electronics. J. Mater. Sci. Mater. Electron. 2021;32:1–18. doi: 10.1007/s10854-020-04909-z. [CrossRef] [Google Scholar]

23. Xiong W., Wang G., Du Y., Lin H.X., Zhao X.W., Yu J.H., Kong Z.Z., Dong Y., Jiang H.J., Tao Y., et al. Integration of silicon nitride waveguide in Ge-on-insulator substrates for monolithic solutions in optoelectronics. J. Mater. Sci. Mater. Electron. 2021;32:6133–6140. doi: 10.1007/s10854-021-05331-9. [CrossRef] [Google Scholar]

24. Shi B., Han Y., Li Q., Lau K.M. 1.55-μm Lasers Epitaxially Grown on Silicon. IEEE J. Sel. Top. Quantum Electron. 2019;25:1900711. doi: 10.1109/JSTQE.2019.2927579. [CrossRef] [Google Scholar]

25. Wang T., Liu H.Y., Lee A., Pozzi F., Seeds A. 1.3-μm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates. Opt. Express. 2011;19:11381–11386. doi: 10.1364/OE.19.011381. [PubMed] [CrossRef] [Google Scholar]

26. Han Y., Lau K.M. III–V lasers selectively grown on (001) silicon. J. Appl. Phys. 2020;128:200901. doi: 10.1063/5.0029804. [CrossRef] [Google Scholar]

27. Norman J.C., Jung D., Wan Y., Bowers J.E. Perspective: The future of quantum dot photonic integrated circuits. APL Photonics. 2018;3:030901. doi: 10.1063/1.5021345. [CrossRef] [Google Scholar]

28. Zhou Y., Miao Y., Ojo S., Tran H., Abernathy G., Grant J.M., Amoah S., Salamo G., Du W., Liu J.F., et al. Electrically injected GeSn lasers on Si operating up to 100 K. Optica. 2020;7:924–928. doi: 10.1364/OPTICA.395687. [CrossRef] [Google Scholar]

29. Miao Y., Wang G., Kong Z., Xu B.Q., Zhao X.W., Luo X., Lin H.X., Dong Y., Lu B., Dong L.P., et al. Review of Si-Based GeSn CVD Growth and Optoelectronic Applications. Nanomaterials. 2021;11:2556. doi: 10.3390/nano11102556. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

30. Zhou Y., Ojo S., Wu C.W., Miao Y.H., Tran H., Grant J.M., Abernathy G., Amoah S., Bass J., Salamo G., et al. Electrically injected GeSn lasers with peak wavelength up to 2.7 μm. Photonics Res. 2022;10:222–229. doi: 10.1364/PRJ.443144. [CrossRef] [Google Scholar]

31. Radamson H.H., Noroozi A., Jamshidi A., Thompson P.E., Ostling M. Strain Engineering in GeSnSi Materials. ECS Trans. 2012;50:527–531. doi: 10.1149/05009.0527ecst. [CrossRef] [Google Scholar]

32. Noroozi A., Abedin A., Moeen M., Ostling M., Radamson H.H. CVD Growth of GeSnSiC Alloys Using Disilane, Digermane, Tin Tetrachloride and Methylsilane. ECS Trans. 2014;64:703–710. doi: 10.1149/06406.0703ecst. [CrossRef] [Google Scholar]

33. Li Q., May L.K. Epitaxial growth of highly mismatched III-V materials on (001) silicon for electronics and optoelectronics. Prog. Cryst. Growth Charact. Mater. 2017;63:105–120. doi: 10.1016/j.pcrysgrow.2017.10.001. [CrossRef] [Google Scholar]

34. Hiraki T., Aihara T., Hasebe K., Fujii T., Takeda K., Nishi H., Kakitsuka T., Fukuda H., Tsuchizawa T., Matsuo S. Heterogeneous Integration of III-V Semiconductors on Si Photonics Platform. ECS Trans. 2018;86:11–16. doi: 10.1149/08607.0011ecst. [CrossRef] [Google Scholar]

35. Liu H.Y., Sellers I.R., Badcock T.J., Mowbray D.J., Skolnick M.S., Groom K.M., Gutierrez M., Hopkinson M., Ng J.S., David J., et al. Improved performance of 1.3 μm multilayer InAs quantum-dot lasers using a high-growth-temperature GaAs spacer layer. Appl. Phys. Lett. 2004;85:704–706. doi: 10.1063/1.1776631. [CrossRef] [Google Scholar]

36. Park J.S., Tang M., Chen S., Liu H. Heteroepitaxial Growth of III-V Semiconductors on Silicon. Crystals. 2020;10:1163. doi: 10.3390/cryst10121163. [CrossRef] [Google Scholar]

37. Li Q., Wang X., Zhang Z., Chen H.M., Huang Y.Q., Hou C.C., Wang J., Zhang R.Y., Ning J.Q., Min J.H., et al. Development of Modulation p-Doped 1310 nm InAs/GaAs Quantum Dot Laser Materials and Ultrashort Cavity Fabry-Perot and Distributed-Feedback Laser Diodes. ACS Photonics. 2017;5:1084–1093. doi: 10.1021/acsphotonics.7b01355. [CrossRef] [Google Scholar]

38. Arakawa Y., Nakamura T., Jang B., Tanabe K., Sugawara M. Novel In-Plane Semiconductor Lasers XVI. SPIE; San Francisco, CA, USA: 2017. Advances in hybrid silicon III–V quantum-dot laser (Conference Presentation) p. 101230H. [Google Scholar]

39. Wan Y., Norman J., Li Q., Kennedy M.J., Liang D., Zhang C., Huang D., Zhang Z., Liu A., Torres A., et al. 1.3 μm submilliamp threshold quantum dot micro-lasers on Si. Optica. 2017;4:940–944. doi: 10.1364/OPTICA.4.000940. [CrossRef] [Google Scholar]

40. Wang Y., Chen S., Yu Y., Zhou L.D., Liu L., Yang C.C., Liao M.Y., Tang M.C., Liu Z.Z., Wu J., et al. Monolithic quantum-dot distributed feedback laser array on silicon. Optica. 2018;5:528–533. doi: 10.1364/OPTICA.5.000528. [CrossRef] [Google Scholar]

41. Wan Y., Norman J.C., Tong Y., Tong Y., Kennedy M.J., He W., Selvidge J., Shang C., Doumont M., Malik A., et al. Quantum Dot Lasers: 1.3 μm Quantum Dot-Distributed Feedback Lasers Directly Grown on (001) Si. Laser Photonics Rev. 2020;14:2000037. doi: 10.1002/lpor.202000037. [CrossRef] [Google Scholar]

42. Holt D.B. Antiphase boundaries in semiconducting compounds. J. Phys. Chem. Solids. 1969;30:1297–1308. doi: 10.1016/0022-3697(69)90191-7. [CrossRef] [Google Scholar]

43. Posthill J.B., Tarn J., Das K., Humphreys T., Parikh P. Observation of antiphase domain boundaries in GaAs on silicon by transmission electron microscopy. Appl. Phys. Lett. 1988;53:1207–1209. doi: 10.1063/1.100021. [CrossRef] [Google Scholar]

44. Kunert B., Mols Y., Baryshniskova M., Waldron N., Schulze A., Langer R. How to control defect formation in monolithic III-V hetero epitaxy on (100) Si? A critical review on current approaches. Semicond. Sci. Technol. 2018;33:093002. doi: 10.1088/1361-6641/aad655. [CrossRef] [Google Scholar]

45. Yang V.K., Groenert M., Leitz C.W., Pitera A.J., Currie M.T., Fitzgerald E.A. Crack formation in GaAs heteroepitaxial films on Si and SiGe virtual substrates. J. Appl. Phys. 2003;93:3859–3865. doi: 10.1063/1.1558963. [CrossRef] [Google Scholar]

46. Bo R., Yan H., Liang Y. Research progress of Ⅲ-Ⅴ laser bonding to Si. J. Semicond. 2016;037:28–39. [Google Scholar]

47. Tanabe K., Watanabe K., Arakawa Y. III-V/Si hybrid photonic devices by direct fusion bonding. Sci. Rep. 2012;2:349. doi: 10.1038/srep00349. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

48. Zhou Z., Yin B., Michel J. On-chip light sources for silicon photonics. Light Sci. Appl. 2015;4:e358. doi: 10.1038/lsa.2015.131. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

49. Liang D., Bowers J.E. Recent progress in lasers on silicon. Nat. Photonics. 2010;4:511–517. doi: 10.1038/nphoton.2010.167. [CrossRef] [Google Scholar]

50. Lourdudoss S. Heteroepitaxy and selective area heteroepitaxy for silicon photonics. Curr. Opin. Solid State Mater. Sci. 2012;16:91–99. doi: 10.1016/j.cossms.2012.01.003. [CrossRef] [Google Scholar]

51. Han Y., Yan Z., Ng W.K., Xue Y., Wong K.S., Lau K.M. Bufferless 1.5 μm III-V lasers grown on Si-photonics 220 nm SOI platforms. Optica. 2020;7:148–153. doi: 10.1364/OPTICA.381745. [CrossRef] [Google Scholar]

52. Cao V., Park J.-S., Tang M., Zhou T., Seeds A., Chen S., Liu H. Recent Progress of Quantum Dot Lasers Monolithically Integrated on Si Platform. Front. Phys. 2022;10:839953. doi: 10.3389/fphy.2022.839953. [CrossRef] [Google Scholar]

53. Du Y., Xu B., Wang G., Miao Y.H., Li B., Kong Z.Z., Dong Y., Wang W.W., Radamson H.H. Review of Highly Mismatched III-V Heteroepitaxy Growth on (001) Silicon. Nanomaterials. 2022;12:741. doi: 10.3390/nano12050741. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

54. Liao M., Chen S., Park J.S., Seeds A., Liu H.Y. III-V quantum-dot lasers monolithically grown on silicon. Semicond. Sci. Technol. 2018;33:123002. doi: 10.1088/1361-6641/aae6a5. [CrossRef] [Google Scholar]

55. Shang C., Selvidge J., Hughes E., Norman J.C., Taylor A.A., Gossard A.C., Mukherjee K., Bowers J.E. A Pathway to Thin GaAs Virtual Substrate on On-Axis Si (001) with Ultralow Threading Dislocation Density. Phys. Status Solidi (A) 2020;218:2000402. doi: 10.1002/pssa.202000402. [CrossRef] [Google Scholar]

56. Ni W., Ekberg J.O., Joelsson K.B., Radamson H.H., Henry A., Shen G.D., Hansson G.V. A silicon molecular beam epitaxy system dedicated to device-oriented material research. J. Cryst. Growth. 1995;157:285–294. doi: 10.1016/0022-0248(95)00326-6. [CrossRef] [Google Scholar]

57. Shang C., Wan Y., Selvidge J., Hughes E., Herrick R., Mukherjee K., Duan J.A., Grillot F., Chow W.W., Bowers J.E. Perspectives on Advances in Quantum Dot Lasers and Integration with Si Photonic Integrated Circuits. ACS Photonics. 2021;8:2555–2566. doi: 10.1021/acsphotonics.1c00707. [CrossRef] [Google Scholar]

58. Du Y., Kong Z., Toprak M., Wang G.L., Miao Y.H., Xu B.Q., Yu J.H., Li B., Lin H.X., Han J.H., et al. Investigation of the Heteroepitaxial Process Optimization of Ge Layers on Si (001) by RPCVD. Nanomaterials. 2021;11:928. doi: 10.3390/nano11040928. [PMC free article] [PubMed] [CrossRef] [Google Scholar]

59. Du Y., Xu B., Wang G., Gu S.H., Li B., Kong Z.Z., Yu J.H., Bai G.B., Li J.J., Wang W.W., et al. Growth of high-quality epitaxy of GaAs on Si with engineered Ge buffer using MOCVD. J. Mater. Sci. Mater. Electron. 2021;32:6425–6437. doi: 10.1007/s10854-021-05360-4. [CrossRef] [Google Scholar]

60. Houzay F., Guille C., Moison J.M., Henoc P., Barthe F. First stages of the MBE growth of InAs on (001) GaAs. J. Cryst. Growth. 1987;81:67–72. doi: 10.1016/0022-0248(87)90367-8. [CrossRef] [Google Scholar]

61. Cheng Q., Bahadori M., Glick M., Rumley S., Bergman K. Recent advances in optical technologies for data centers: A review. Optica. 2018;5:1354–1370. doi: 10.1364/OPTICA.5.001354. [CrossRef] [Google Scholar]

62. Chen S., Li W., Wu J., Jiang Q., Tang M., Shutts S., Elliott S., Sobiesierski A., Seeds A., Ross I., et al. Electrically pumped continuous-wave III-V quantum dot lasers on silicon. Nat. Photonics. 2016;10:307–311. doi: 10.1038/nphoton.2016.21. [CrossRef] [Google Scholar]

63. Norman J., Kennedy M.J., Selvidge J., Li Q., Wan Y.T., Liu A.Y., Callahan P.G., Echlin M.P., Lau K.M., Gossard A.C., et al. Electrically pumped continuous wave quantum dot lasers epitaxially grown on patterned, on-axis (001) Si. Opt Express. 2017;25:3927–3934. doi: 10.1364/OE.25.003927. [PubMed] [CrossRef] [Google Scholar]

64. Ustinov V.M., Zhukov A.E. GaAs-based long-wavelength lasers. Semicond. Sci. Technol. 2000;15:R41–R54. doi: 10.1088/0268-1242/15/8/201. [CrossRef] [Google Scholar]

65. Jin C.Y., Badcock T.J., Liu H.Y., Groom K.M., Royce R., Mowbray D., Hopkinson M. Observation and Modeling of a Room-Temperature Negative Characteristic Temperature 1.3 μm p-Type Modulation-Doped Quantum-Dot Laser. IEEE J. Quantum Electrons. 2006;42:1259–1265. doi: 10.1109/JQE.2006.883473. [CrossRef] [Google Scholar]

66. Liu H.Y., Wang T., Jiang Q., Hogg R., Tutu F., Pozzi F., Seeds A. Long-wavelength InAs/GaAs quantum-dot laser diode monolithically grown on Ge substrate. Nat. Photonics. 2011;5:416–419. doi: 10.1038/nphoton.2011.120. [CrossRef] [Google Scholar]

67. Liu A.Y., Zhang C., Norman J., Snyder A., Lubyshev D., Fastenau J., Liu A., Bowers J. High performance continuous wave 1.3 μm quantum dot lasers on silicon. Appl. Phys. Lett. 2014;104:511. doi: 10.1063/1.4863223. [CrossRef] [Google Scholar]

68. Jung D., Norman J.C., Kennedy M.J., Shang C., Shin B., Wan Y., Gossard A., Bowers J. High efficiency low threshold current 1.3 μm InAs quantum dot lasers on on-axis (001) GaP/Si. Appl. Phys. Lett. 2017;111:122107. doi: 10.1063/1.4993226. [CrossRef] [Google Scholar]

69. Chen S., Liao M.Y., Tang M.C., Wu J., Martin M., Baron T., Seeds A., Liu H.-Y. Electrically pumped continuous-wave 1.3 µm InAs/GaAs quantum dot lasers monolithically grown on on-axis Si (001) substrates. Opt Express. 2017;25:4632–4639. doi: 10.1364/OE.25.004632. [PubMed] [CrossRef] [Google Scholar]

70. Jung D., Zhang Z., Norman J., Herrick R., Kennedy M.J., Patel P., Turnlund K., Jan C., Wan Y., Gossard A., et al. Highly Reliable Low-Threshold InAs Quantum Dot Lasers on On-Axis (001) Si with 87% Injection Efficiency. ACS Photonics. 2017;5:1094–1100. doi: 10.1021/acsphotonics.7b01387. [CrossRef] [Google Scholar]

71. Kwoen J., Jang B., Lee J., Kageyama T., Watanabe K., Arakawa Y. All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001) Opt Express. 2018;26:11568. doi: 10.1364/OE.26.011568. [PubMed] [CrossRef] [Google Scholar]

72. Kwoen J., Jang B., Watanabe K., Arakawa Y. High-temperature Continuous Wave Operation of Directly Grown InAs/GaAs Quantum Dot Lasers on onaxis Si (001) Opt Express. 2019;27:2681–2688. doi: 10.1364/OE.27.002681. [PubMed] [CrossRef] [Google Scholar]

73. Shang C., Wan Y., Norman J., Collins N., Macfarlane I., Dumont M. Low-Threshold Epitaxially Grown 1.3-μm InAs Quantum Dot Lasers on Patterned (001) Si. IEEE J. Quantum Electrons. 2019;25:1502207. doi: 10.1109/JSTQE.2019.2927581. [CrossRef] [Google Scholar]

74. Li K., Liu Z., Tang M., Liao M., Kim D., Deng H., Sanchez A.M., Beanland R., Martin M., Baron T., et al. O-band InAs/GaAs quantum dot laser monolithically integrated on exact (001) Si substrate. J. Cryst. Growth. 2019;511:56–60. doi: 10.1016/j.jcrysgro.2019.01.016. [CrossRef] [Google Scholar]

75. Wan Y., Bowers J.E., Shang C., Norman J., Shi B., Li Q., Collins N., Dumont M., Lau K.M., Gossard A.C. Low Threshold Quantum Dot Lasers Directly Grown on Unpatterned Quasi-Nominal (001) Si. IEEE J. Quantum Electrons. 2020;26:1900409. doi: 10.1109/JSTQE.2020.2964381. [CrossRef] [Google Scholar]

76. Yang J., Liu Z., Jurczak P., Tang M., Li K., Pan S., Sanchez A., Beanland R., Zhang J.C., Wang H., et al. All-MBE Grown InAs/GaAs Quantum Dot Lasers with Thin Ge Buffer Layer on Si Substrates. J. Phys. D Appl. Phys. 2020;54:035103. doi: 10.1088/1361-6463/abbb49. [CrossRef] [Google Scholar]

77. Shang C., Hughes E., Wan Y., Dumont M., Koscica R., Selvidge J., Herrick R., Gossard A.C., Mukherjee K., Bowers J.E. High-temperature reliable quantum-dot lasers on Si with misfit and threading dislocation filters. Optica. 2021;8:749–754. doi: 10.1364/OPTICA.423360. [CrossRef] [Google Scholar]


Articles from Nanomaterials are provided here courtesy of Multidisciplinary Digital Publishing Institute (MDPI)


moonhibed1982.blogspot.com

Source: https://www.ncbi.nlm.nih.gov/pmc/articles/PMC9370541/

0 Response to "High Performance Continuous Wave 1 3 1¼m Quantum Dot Lasers on Silicon"

Post a Comment

Iklan Atas Artikel

Iklan Tengah Artikel 1

Iklan Tengah Artikel 2

Iklan Bawah Artikel